Структурные и поведенческие модели цифрового узла в пакетах DesignLab 8, OrCAD 9.1, Active HDL 6.1, страница 7

            ELSIF (S="111") THEN PREQ := D7;

            end if; 

    IF (Q1'DELAYED = '1' OR Q1'DELAYED = '0')    THEN     --передача сигналов на выход     

        IF (NEZ='1' AND Q1'DELAYED ='0') then                      --обработка перехода в Z-состояние

            Q1<= 'Z' after 22NS;                                                    --для различных переключения

            NQ1<= 'Z' after 34NS;                                                 --с соответствующими задержками

        ELSIF (NEZ='1' AND Q1'DELAYED ='1') then    

            Q1<= 'Z' after 31NS;  

            NQ1<= 'Z' after 22NS;  

        ELSIF (S'EVENT AND Q1'DELAYED='0') THEN           --обработка переключения

            Q1 <=PREQ AFTER 18NS;                                         --управляющих входов

            NQ1 <=NOT(PREQ) AFTER 23NS;   

        ELSIF (S'EVENT AND Q1'DELAYED='1') THEN

            Q1 <=PREQ AFTER 23NS;    

            NQ1 <=NOT(PREQ) AFTER 23NS;   

        ELSIF ((D0'EVENT OR D1'EVENT OR D2'EVENT OR D3'EVENT OR D4'EVENT OR D5'EVENT OR D6'EVENT OR D7'EVENT)  AND Q1'DELAYED='0') THEN --обработка

            Q1 <=PREQ AFTER 10NS;                                                                 --переключения

            NQ1 <=NOT(PREQ) AFTER 15NS;                                                    --входов данных

        ELSIF ((D0'EVENT OR D1'EVENT OR D2'EVENT OR D3'EVENT OR D4'EVENT OR D5'EVENT OR D6'EVENT OR D7'EVENT)  AND Q1'DELAYED='1') THEN

            Q1 <=PREQ AFTER 15NS;

            NQ1 <=NOT(PREQ) AFTER 15NS;

        END IF;       

    ELSE                                                                      --обработка перехода из неопределённого     

        IF (NEZ='1') then                                                 --состояния

            Q1<= 'Z';      

            NQ1<= 'Z';

        ELSIF (NEZ='0') then    

            Q1<=PREQ AFTER 15NS;  

            NQ1<=NOT(PREQ) AFTER 15NS;  

        end if;  

    END IF;

END PROCESS;

Q <= Q1;                                                                     --присваивание значения внутренных     

NQ <= NQ1;                                                               --переменных на выходы

END model;

Рис. 42. Поведенческая VHDL-модель узла.

Пояснения к поведенческой VHDL-модели узла приведены в комментариях.

8.6. Схема верификации узла с подключённой VHDL-моделью.

Схема верификации иерархического символа с подключенной поведенческой VHDL-моделью представлена на рис. 43.

Рис. 43. Схема верификации узла с подключенной VHDL-моделью.

8.7. Результаты верификации поведенческой VHDL-модели узла.

Результаты верификации поведенческой модели узла в виде временных диаграмм представлены на рис. 44.

Рис. 44. Результаты моделирования символа с поведенческой VHDL-моделью.

9. Моделирование узла в пакете Active HDL 6.1.

9.1. Макромодель, представленная как иерархический символ.

Внешнее описание макромодели узла в виде графического изображения представлено на рис. 45.

.

Рис. 45. Внешнее описание макромодели узла — в виде графического изображения.

Внутреннее описание макромодели узла в виде принципиальной схемы замещения представлено на рис. 46.

Рис. 46. Внутренне описание макромодели узла — в виде принципиальной схемы замещения.

Все элементы данной схемы имеют реальные прототипы, VHDL-модели элементов данной схемы аналогичны VHDL-моделям в пакете OrCAD 9.1, за исключением упаковочной информации. Исходные коды представлены в приложении 2.

9.2. Схема верификации иерархического блока, поддерживаемого схемой замещения.

Схема верификации иерархического блока, поддерживаемого схемой замещения, представлена на рис. 47.

Рис. 47. Схема верификации иерархического блока, поддерживаемого схемой замещения.

9.3. Результаты верификации иерархического символа со схемой замещения.

Результаты верификации иерархического блока со схемой замещения представлены на рис. 48.

Рис. 48. Результаты верификации иерархического блока со схемой замещения.