Проектирование цифрового автомата в САПР OrCAD 9.1 и Active-HDL 8.1, страница 14

end PAM;                                                                                                                                                                                                                                                                                                                              

Также добавлен сигнал CS, при высоким уровне этого сигнала выходы  памяти переводятся в Z-состояние. Чтобы вывести схему из этого состояния приходится сбрасывать все триггеры.

Далее приведём схему замещения, построенную на ПЗУ (рис. 23) и результаты верификации схемы с использованием ПЗУ (рис. 24).


Рис. 23 Схема замещения с использованием ПЗУ

Рис. 24 Результаты верификации схемы с использованием ПЗУ


Выводы

В ходе работы был разработан четырёхразрядный цифровой автомат (ЦА) на JK-триггерах, имеющий два режима работы. Цифровой автомат был синтезирован семью различными способами:

ü  Синтез цифрового автомата с комбинационной частью на зарубежных библиотечных логических элементах;

ü  Синтез цифрового автомата с комбинационной частью на абстрактных символах;

ü  Разработка функциональной VHDL – модели ЦА без учета задержек;

ü  Разработка потоковой  VHDL – модели ЦА;

ü  Синтез комбинационной части ЦА на мультиплексорах с использованием разложения Шеннона;

ü  Синтез комбинационной части ЦА на дешифраторах;

ü  Синтез комбинационной части ЦА на ПЗУ.

Если сравнить временные диаграммы всех вышеперечисленных способов, то можно прийти к выводу, что они (временные диаграммы) на одних и тех же комбинациях входных сигналов совпадают, а это дает право сделать еще один ВЫВОД: все семь раз ЦА синтезирован правильно, что подтверждается функционированием ЦА, которое ожидалось получить в теории.

Были усвоены различные методы проектирования, синтеза и испытания моделей ЦА. Закреплены навыки работы в пакете САПР Orcad 9.1 и Active-HDL 7.1. Многократно проработаны  методы многоуровневого проектирования, библиотечный метод проектирования, работа с иерархическими блоками, их схемами замещения и  VHDL– моделями.


Личные впечатления

Одной из самых сложных задач в настоящей расчетно-графической работе было построение структурной схемы на зарубежных элементах. Нужно было составить таблицы истинности для каждого режима работы ЦА в отдельности, построить карты Карно и получить функции возбуждения для входов всех четырех JK – триггеров. Но все эти результаты испытаний не пропали даром: схема, полученная в процессе наисложнейшего синтеза, позволяет наиболее точно промоделировать работу устройства.

Из текстовых описаний наиболее удобным для данного устройства является функциональное описание, так оно позволяет наиболее кратко, лаконично и точно описать работу устройства.

Расчетно-графическая работа существенно помогла в более глубоком освоении языка VHDL и познании его тонкостей и особенностей.

В целом, я остался доволен проделанной работой, несмотря на то, что в процессе ее выполнения было потрачено очень много «мозговых калорий», пролито не один литр «пота и крови», ведь испытания, через которые пришлось пройти, не сделали меня слабее и уязвимее, а наоборот, сделали сильнее, укрепили уверенность в моих знаниях и способностях.


Список использованной литературы

1.  Шалагинов А.В. Цифровое моделирование в САПР Orcad 9.1.Учеб. Пособие. – Новосибирск: Изд-во НГТУ, 2002. – 104с.

2.  Угрюмов Е.П. Цифровая схемотехника: Учеб. Пособие для вызов. – 2-е изд., BHV, Санкт – Петербург, 2007.-800c.: ил.

3.  Курс лекций по моделированию, 2009.

4.  Курс лекций по Теории Автоматов, 2008.