Проектирование специализированного микроконтроллера, реализующего полнофункциональную RSA схему шифрования, страница 9

                       b_i <= b_buf(191 downto 160);

                       c_in_i <= c_out_i;

        when level6 => s(223 downto 192) <= s_i;

                       a_i <= a_buf(223 downto 192);

                       b_i <= b_buf(223 downto 192);

                       c_in_i <= c_out_i;

        when level7 => s(255 downto 224) <= s_i;

                       a_i <= a_buf(255 downto 224);

                       b_i <= b_buf(255 downto 224);

                       c_in_i <= c_out_i;

        when level8 => s(287 downto 256) <= s_i;

                       a_i <= a_buf(287 downto 256);

                       b_i <= b_buf(287 downto 256);

                       c_in_i <= c_out_i;

        when level9 => s(319 downto 288) <= s_i;

                       a_i <= a_buf(319 downto 288);

                       b_i <= b_buf(319 downto 288);

                       c_in_i <= c_out_i;

        when level10 => s(351 downto 320) <= s_i;

                       a_i <= a_buf(351 downto 320);

                       b_i <= b_buf(351 downto 320);

                       c_in_i <= c_out_i;

        when level11 => s(383 downto 352) <= s_i;

                       a_i <= a_buf(383 downto 352);

                       b_i <= b_buf(383 downto 352);

                       c_in_i <= c_out_i;

        when level12 => s(415 downto 384) <= s_i;

                       a_i <= a_buf(415 downto 384);

                       b_i <= b_buf(415 downto 384);

                       c_in_i <= c_out_i;

        when level13 => s(447 downto 416) <= s_i;

                       a_i <= a_buf(447 downto 416);

                       b_i <= b_buf(447 downto 416);

                       c_in_i <= c_out_i;

        when level14 => s(479 downto 448) <= s_i;

                       a_i <= a_buf(479 downto 448);

                       b_i <= b_buf(479 downto 448);

                       c_in_i <= c_out_i;

        when level15 => s(511 downto 480) <= s_i;

                       a_i <= a_buf(511 downto 480);

                       b_i <= b_buf(511 downto 480);

                       c_in_i <= c_out_i;

        when level16 => s(543 downto 512) <= s_i;

                       a_i <= a_buf(543 downto 512);

                       b_i <= b_buf(543 downto 512);

                       c_in_i <= c_out_i;

        when level17 => s(575 downto 544) <= s_i;

                       a_i <= a_buf(575 downto 544);

                       b_i <= b_buf(575 downto 544);

                       c_in_i <= c_out_i;

        when level18 => s(607 downto 576) <= s_i;

                       a_i <= a_buf(607 downto 576);

                       b_i <= b_buf(607 downto 576);

                       c_in_i <= c_out_i;

        when level19 => s(639 downto 608) <= s_i;

                       a_i <= a_buf(639 downto 608);

                       b_i <= b_buf(639 downto 608);

                       c_in_i <= c_out_i;

        when level20 => s(671 downto 640) <= s_i;

                       a_i <= a_buf(671 downto 640);

                       b_i <= b_buf(671 downto 640);

                       c_in_i <= c_out_i;

        when level21 => s(703 downto 672) <= s_i;

                       a_i <= a_buf(703 downto 672);

                       b_i <= b_buf(703 downto 672);

                       c_in_i <= c_out_i;

        when level22 => s(735 downto 704) <= s_i;

                       a_i <= a_buf(735 downto 704);

                       b_i <= b_buf(735 downto 704);

                       c_in_i <= c_out_i;

        when level23 => s(767 downto 736) <= s_i;

                       a_i <= a_buf(767 downto 736);

                       b_i <= b_buf(767 downto 736);

                       c_in_i <= c_out_i;

        when level24 => s(799 downto 768) <= s_i;

                       a_i <= a_buf(799 downto 768);

                       b_i <= b_buf(799 downto 768);