Разработка счетчика типа К555ИЕ9, представляющего собой декадный двоично-десятичный счетчик. Создание его структурных и поведенческих моделей в пакетах DesignLab 8.0 и OrCAD 9.1

Страницы работы

Содержание работы

Министерство образования и науки РФ

Новосибирский Государственный Технический Университет

Кафедра ВТ


Пояснительная записка к курсовой работе

по дисциплине

Моделирование

Факультет:        АВТ

Группа:              АМ – 110

Студент:            Наумовский А.А.

Преподаватель: Шалагинов A. В.

Новосибирск 2004 год.

Содержание

Пояснительная записка к курсовой работе 1

1.     Постановка задачи_ 3

2.     УГО счетчика_ 3

3.     Таблица назначения выводов 3

4.     Логическая таблица режимов работы_ 4

5.     Таблица реальных задержек_ 4

6.     Описание  работы моделируемого узла_ 5

7.     Моделирование счетчика К555ИE9 в пакете DesignLab 8.0. 6

7.1       Условное графическое изображение проектируемого узла_ 6

7.2       Схема замещения проектируемого узла_ 7

7.3       Текстовое Spice-описание моделируемого узла_ 7

7.4       Содержимое файла описания внешних воздействий. 9

7.5       Схема верификации иерархического символа_ 10

7.6       Результаты моделирования в пакете DesignLab 8.0. 11

7.7       Оценка скоростных возможностей. 12

7.8       Функциональное описание моделируемого узла. 13

7.9       Схема верификации символа с подключённой к нему макромоделью. 15

8.     Моделирование счетчика КМ555ИЕ9 в пакете Orcad 9.1. 16

8.1       УГО моделируемого узла. 16

8.2       Принципиальная схема замещения проектируемого узла_ 17

8.3       Схема верификации иерархического символа_ 17

8.4       Поведенческая VHDL-модель узла_ 18

8.5       Результаты моделирования в пакете OrCad 9.1. 19

9.     Моделирование счетчика КМ555ИЕ9 в пакете Active HDL 5.1_ 21

9.1       УГО моделируемого узла_ 21

9.2       Принципиальная схема замещения проектируемого узла_ 21

9.3       Поведенческая VHDL-модель узла_ 22

9.4       Результаты моделирования в пакете Active HDL 5.1_ 22

10.       Выводы по проделанной работе и личные впечатления_ 24

Список литературы_ 26


1.  Постановка задачи

Разработать счетчик типа К555ИЕ9, представляющий собой декадный двоично-десятичный счетчик. Создать его структурные и поведенческие модели в пакетах DesignLab 8.0 и OrCAD 9.1  и провести имитационные эксперименты с разработанным узлом, с целью подтверждения работоспособности узла и соответствия временных задержек требуемым.

2.  УГО счетчика

Рис. 1.    УГО микросхемы К555ИЕ10

3.  Таблица назначения выводов

№ вывода

Обозначение

Назначение

1

R

Вход сброса  (инверсный)

2

C

Тактовый вход

3

D0

Вход данных

4

D1

Вход данных

5

D2

Вход данных

6

D3

Вход данных

7

CET

Вход разрешения (параллельный)

8

GND

«Земля»

9

PE

Вход разрешения параллельной загрузки (инверсный)

10

CET

Вход разрешения (вспомогательный)

11

Q3

Выход данных

12

Q2

Выход данных

13

Q1

Выход данных

14

Q0

Выход данных

15

TC

Окончание счета

16

PWR(+5V)

«Питание»

Табл. 1. Назначение выводов микросхемы ИЕ9

Рис. 2.    Цоколевка микросхемы К555ИЕ9

4.  Логическая таблица режимов работы

РЕЖИМ

ВХОД

ВЫХОД

R

C

CEP

CET

PE

Dn

Qn

TC

Сброс

0

X

X

X

X

X

0

0

Параллельная загрузка

1

­

X

X

0

0

0

0

1

­

X

X

0

1

1

1

Счет

1

­

1

1

1

X

Счет

1

Хранение

1

X

0

X

1

X

qn

1

1

X

X

0

1

X

qn

1

Табл. 2. Состояния счетчика ИЕ9

5.  Таблица реальных задержек


Задержки распространения (нс)

555ИЕ9

" Q" от входа "R"

28

" Q" от входа "CLK" Q= L-->H

24

" Q" от входа "CLK" Q= H-->L

 27

От "CLK "  "TC "

 35

Табл. 3. Таблица задержек счетчика ИЕ9

Соответствующие задержки взяты из литературы [1].


6.  Описание  работы моделируемого узла

Похожие материалы

Информация о работе