Моделирование электронных схем на языке VHDL. Описание элементов. Логический элемент «НЕ» К155ЛН1, страница 7

a0=>zero,

b3=>A17,

b2=>A16,

b1=>A15,

b0=>A14,

aPbout=>d1_d6,--??!ulogic

aBbout=>ground,

aMbout=>ground );

D9_2:k555la4  port  map  (a1_LA4=>PLUS_5,

b1_LA4=>ALE1,

c1_LA4=>d1_d6,

a2_LA4=>zero,

b2_LA4=>zero,

c2_LA4=>zero,

a3_LA4=>zero,

b3_LA4=>zero,

c3_LA4=>zero,

y1_LA4=>d9_2_d3,

y2_LA4=>ground,        

y3_LA4=>ground);

D6:k155la3  port  map    (a1_LA3=>d1_d6,

b1_LA3=>d6_d9_2,

a2_LA3=>zero,

b2_LA3=>zero,

a3_LA3=>zero,

b3_LA3=>zero,

a4_LA3=>zero,

b4_LA3=>zero,

y1_LA3=>d6_d5_3,

y2_LA3=>ground,

y3_LA3=>ground,

y4_LA3=>ground); 

D5_3:k155ln1  port  map  (input=>d6_d5_3,

output_inv=>d5_1_d9_1);

D2_1:k555lp5  port map   (a1_LP5=>A18,

b1_LP5=>zero,

a2_LP5=>A19,

b2_LP5=>zero,

a3_LP5=>zero,

b3_LP5=>zero,

a4_LP5=>zero,

b4_LP5=>zero,

y1_LP5=>d2_1_d7_1,

y2_LP5=>d2_1_d7_1,

y3_LP5=>ground,

y4_LP5=>ground);

D7_1: k155ll1 port  map (a1_LL1=>d2_1_d7_1,

b1_LL1=>d2_1_d7_1,

a2_LL1=>zero,

b2_LL1=>zero,

a3_LL1=>zero,

b3_LL1=>zero,

a4_LL1=>zero,

b4_LL1=>zero,

y1_LL1=>d7_1_d5_4,

y2_LL1=>ground,

y3_LL1=>ground,

y4_LL1=>ground);

D5_4:k155ln1  port  map  (input=>d7_1_d5_4,

output_inv=>d5_4_out);

D3:k155tm2  port  map    (r_inv_T1=>PLUS_E,

d_T1=>zero,

c_T1=>PLUS_5,

s_inv_T1=>d9_2_d3,

q_T1=>d3_d8_1,

q_inv_T1=>d3_out,

r_inv_T2=>PLUS_E,

d_T2=>d3_d8_2,

c_T2=>CLK,

s_inv_T2=>d9_2_d3,

q_T2=>d3_d2_2,

q_inv_T2=>d3_d8_2);

D8_2:k155lA18  port  map    (input1=>d3_d8_2,

input2=>d3_out,

input6=>zero,

input7=>zero,

output3_inv=>d8_2_d10,       

output5_inv=>ground);

D10:k561IE10  port  map ( C0=>D8_2_D10,

V0=>PLUS_5,

R0=>zero,

C1=>zero,

V1=>PROVOD,

R1=>zero,

Q0(0)=>RFC(1),

Q0(1)=>RFC(2),

Q0(2)=>RFC(3),

Q0(3)=>PROVOD,

Q1=>RFC(8 downto 5));

D2_2:k555lp5  port map     (a1_LP5=>d3_d2_2,

b1_LP5=>one,

a2_LP5=>zero,

b2_LP5=>zero,

a3_LP5=>zero,

b3_LP5=>zero,

a4_LP5=>zero,

b4_LP5=>zero,

y1_LP5=>d2_2_d7_2,

y2_LP5=>ground,

y3_LP5=>ground,

y4_LP5=>ground);

D7_2: k155ll1 port  map   (a1_LL1=>d3_out,

b1_LL1=>d2_2_d7_2,

a2_LL1=>zero,

b2_LL1=>zero,

a3_LL1=>zero,

b3_LL1=>zero,

a4_LL1=>zero,

b4_LL1=>zero,

y1_LL1=>NONAME2,--peremichka

y2_LL1=>ground,

y3_LL1=>ground,

y4_LL1=>ground);

D5_2:k155ln1  port  map  (input=>CLK,

output_inv=>d5_2_d8_1);

D8_1:k155lA18  port  map    (input1=>d3_d8_1,

input2=>d5_2_d8_1,

input6=>zero,

input7=>zero,

output3_inv=>d8_1_out,  --+5B??!!!     

output5_inv=>ground);

D5_5:k155ln1  port  map  (input=>PLUS_5,

output_inv=>CMR);

D4:k155ll2  port  map     (input1_LL2=>AMWC_INV,

input2_LL2=>BHE_INV,

output3_LL2=>d4_out1,

input6_LL2=>AMWC_INV,

input7_LL2=>AO,

output5_LL2=>d4_out2);

-----------                                                                          

RFC(4)<=PROVOD;                                                  

-----------                                                                  

RAM_EPROM_INV<=d1_d6;

ON_INV_OFF1<=d5_4_out;

NONAME1<=d2_2_d7_2;

--NONAME2<=d3_out;         

RAS_INV<=d3_d2_2;

CAS_INV<=PLUS_5;

WE1_INV<=PLUS_5;

WE2_INV<=PLUS_5;

-- <<enter your statements here>>) 

end TOP;


    4. Литература

1.  Шило  В.Л.  Популярные  цифровые  микросхемы:  Справочник. 2-е  изд., испр. – Челябинск:  «Металлургия»,  Челябинское  отд.,  1989.

2.   Цифровые  и  аналоговые  интегральные  микросхемы: Справочник/

С.В.Якубовский, Л.И.Ниссельсон  и  др.;  Под  ред  С.В.Якубовского. –

М:  «Радио  и  связь», 1989.