Проектирование цифрового автомата в системе сквозного проектирования DesignLab 8.0 и OrCad 9.1, страница 7

Радует, что в DesignLab можно разработать схему от самого начала и до самого конца, вплоть до анализа результатов (измерение задержек, корректировка гонок сигналов и т.д.). Удобен в пакете оказался встроенный графический редактор сигналов. Но при работе с данным пакетом неудобными, на мой взгляд, оказались несколько вещей: редактор символов (для того чтобы скопировать имеющийся символ нужно предварительно скопировать АКО, а затем символ. Использование символов АКО может быть и полезно, но очень усложняет процесс копирования символа.), невозможность работать с проектами, находящимися в папках, имеющих русские буквы, сложность в написании макромоделей (если не брать во внимание зарубежный аналог, а писать модель самому “с нуля”) . DSL в изучении проще, чем макромодели, но увы он не поддерживает возможностей реализовывать временные характеристики.

По поводу легкости изучения, практичности OrCAD получил большее количество баллов. Удобный проектный интерфейс, в отличии от DL8 не приходится “лазить” по меню и смотреть что куда подключено. В OrCad’е подключенные библиотеки, файлы сигналов, VHDL модели находятся на глазах у разработчика. Ещё одним плюсом является отладка. Информация при отладке схемы в оркаде мне показалась более полезной и понятной, чем DL8. Редактор символов и задание упаковочной информации также не может не радовать разработчика (для того чтобы создать символ на основе уже имеющегося в OrCAD достаточно его сохранить в свою библиотеку и переименовать).

Минусы: в OrCAD не поддерживаются такие удобные элементы как HI и LO и для того чтобы подать на вход логический "0" или "1" нужно создавать дополнительный сигнал. При нечаянном более длительном щелчке на любом элементе схемы в OrCAD выскакивает окно свойств (Property Editor). Неудобен редактор VHDL файлов: горячие клавиши вместо привычных Ctrl+Ins, Shift+Ins имеют другие комбинации. Отсутствует корректировка сигналов как в DL8. Задавать сигналы для тестирования схемы нужно вручную. Также не нашёл я такую возможность, как сделать часть входов видимыми, а часть нет.

Язык для создания схем используемый Designlab – язык макромоделей очень сложен для понимания и интерпретации, при отсутствии иностранного аналога с имеющейся макромоделью создать на ее основе свою конечно не очень сложно, но думаю, что создать макромодель с нуля мне бы не удалось. Язык VHDL используемый в OrCAD очень похож на обычные языки программирования и достаточно прост в использовании. Тем более этот язык является стандартом описания цифровой аппаратуры.

Стоит также отметить ещё несколько плюсов для пакета OrCad: 1) возможность подключать к своему элементу, как схему замещения, так и VHDL-модель. Не приходится дважды создавать проектируемый символ. Достаточно всего лишь в окне свойств Property Editor сделать необходимые переключения и изменения в Simulation Resources-In Design; 2) Процесс создания схем в пакете OrCAD выигрывает в возможности автоинкрементирования при именовании цепей. А также отсутствие дополнительных элементов типа DigStim для задания сигналов входных воздействий, возможность не использовать замыкание цепей.

К сожалению на изучения PCAD нехватило времени, я всё хотел сдать вовремя, а из-за работы на “Мегафоне” поздно приступил к выполнению курсового проекта. Также негативным остаётся тот факт, что прошло довольно много времени с тех пор, когда я вплотную занимался моделированием. Например для создания модели триггера без упаковочной информации у меня ушло около часа, а с упаковочной информацией я потратил около 2 часов 20 минут (я имею ввиду пакет OrCad). Если же говорить в общем о работе, то моделировать регистр мне понравилось, впрочем как мне нравится и сам предмет моделирование. Выполнение курсовой послужило мне дополнительной разминкой для мозгов, а также освежила и укрепила знания в пакетах OrCad и DesignLab.

Список используемой литературы.

1.  Цифровые интегральные микросхемы: Справочник / М. И. Богданович, И. Н. Грель, С. А. Дубина и др. – 2-е изд., перераб. и доп. – Мн.: Беларусь, Полымя. 1996. – 605 с.: ил.

2.  Шалагинов А.В. Цифровое моделирование в САПР DesignLab 8. Уроки для beginner’a: Учеб. Пособие. – Новосибирск: Изд-во НГТУ, 2000. – 87 с.

3.  Шалагинов А.В. Цифровое моделирование в САПР OrCad 9.1. Уроки для beginner’a: Учеб. Пособие. – Новосибирск: Изд-во НГТУ, 2002. – 104 с.

4.  Информация по зарубежному аналогу 74164 с сайта фирмы Texas Instruments, www.ti.com.

5.  Аванесян Г.Р., Левшин В.П. Интегральные микросхемы ТТЛ, ТТЛШ: Справочник. – М. Машиностроение, 1993. – 256с.: ил.