Моделирование цифровых устройств с использованием пакета VHDL. Логический элемент К155ЛА2. Моделирование элементов схемы, страница 6

port (

d1: in STD_LOGIC;

d2: in STD_LOGIC;

y4: out STD_LOGIC

);

end component;

component k155ru2 is

port (

aa1: in STD_LOGIC;

aa2: in STD_LOGIC;

aa3: in STD_LOGIC;

aa4: in STD_LOGIC;

cs: in STD_LOGIC;

w: in STD_LOGIC;

dd1: in STD_LOGIC;

dd2: in STD_LOGIC;

dd3: in STD_LOGIC;

dd4: in STD_LOGIC;

qq1: out STD_LOGIC;

qq2: out STD_LOGIC;

qq3: out STD_LOGIC;

qq4: out STD_LOGIC

);

end component;

component k155tm8 is

port (

r: in STD_LOGIC;

c: in STD_LOGIC;

d5_0: in STD_LOGIC;

d5_1: in STD_LOGIC;

d5_2: in STD_LOGIC;

d5_3: in STD_LOGIC;

q5_0n: out STD_LOGIC;

q5_0: out STD_LOGIC;

q5_1n: out STD_LOGIC;

q5_1: out STD_LOGIC;

q5_2n: out STD_LOGIC;

q5_2: out STD_LOGIC;

q5_3n: out STD_LOGIC;

q5_3: out STD_LOGIC

);

end component;

component k155tm2 is

port (

r_1: in STD_LOGIC;

c_1: in STD_LOGIC;

d_1: in STD_LOGIC;

s_1: in STD_LOGIC;

q_1: out STD_LOGIC;

q_1n: out STD_LOGIC

);

end component;

component k559ip2 is

port (

l1: in STD_LOGIC;

l2: in STD_LOGIC;

t: out STD_LOGIC

);

end component;

component k589ap26 is

port (

dce: in STD_LOGIC;

cs: in STD_LOGIC;

di0: in STD_LOGIC;

di1: in STD_LOGIC;

di2: in STD_LOGIC;

di3: in STD_LOGIC;

db0: inout STD_LOGIC;

db1: inout STD_LOGIC;

db2: inout STD_LOGIC;

db3: inout STD_LOGIC;

d00: out STD_LOGIC;

d01: out STD_LOGIC;

d02: out STD_LOGIC;

d03: out STD_LOGIC

);

end component;

component k599ip1 is

port (

f1: in STD_LOGIC;

f2: in STD_LOGIC;

t1: out STD_LOGIC

);

end component;

signal s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15,s16,s18,

s19,s20,s21,s22,s23,s24,s25,s26,s27,s29,s30,s31,s32,s33,s34,s35,

s36,s37,s38,s39,s40,s41,s42,s43,s44,s45,s46,s47,s48,s49,s50,s51,

s52,s53,s54,s81,s82,s83,s84,s85,s90,s91:std_logic;

begin

c1:k559ip2

port map(k1,'0',s1);

c2:k559ip2

port map(k2,'0',s2);

c3:k559ip2

port map(k3,'0',s3);

c4:k155la6

port map(s2,s2,s7,s7,s4);

c5:k155le1

port map(s1,s5,s6);

c6:k155la3

port map(s4,s8,s5);

c7:k155tm2

port map(s6,k4,'1','1',s9,s10);

c8:k155la3

port map(s2,s11,s12);

c9:k155la3

port map(s12,s12,s13);

c10:k599ip1

port map(s9,s13,z1);

c11:k599ip1

port map(s2,s14,z2);

c12:k155la3

port map(s15,s16,s14);

c13:k155tm2

port map('1',s3,s18,'1',s15,s11);

c14:k155tm2

port map('1',s3,s22,'1',s7,s16);

c15:k155tm8

port map('1',s3,s40,s41,s42,s46,s29,s30,s31,s32,s33,s34,s35,s90);

c16:k599ip1

port map(s7,s29,s36);

c17:k599ip1

port map(s7,s31,s37);

c18:k599ip1

port map(s7,s33,s38);

c19:k599ip1

port map(s7,s35,s39);

c20:k155le1

port map(s40,s41,s44);

c21:k155le1

port map(s42,s43,s45);

c22:k155la6

port map(s44,s45,s46,s47,s18);

c23:k155le1

port map(s47,s43,s22);

c24:k155la2

port map(s48,s48,s49,s50,s51,s52,s53,s54,s43);

c25:k155ru2

port map(s36,s37,s38,s39,'0',k5,s59,s60,s61,s62,z3,z4,z5,z6);

c26:k155ru2

port map(s36,s37,s38,s39,'0',k5,s67,s68,s69,s70,z7,z8,z9,z10);

c27:k155ru2

port map(s36,s37,s38,s39,'0',k5,s74,s75,s76,s77,z11,z12,z13,z14);

c28:k589ap26

port map(s8,'0',s82,s83,s84,s85,k6,k7,k8,k9,s81,s40,s41,s42);

c29:k589ap26

port map(s8,'0',s19,s20,s21,s23,k10,k11,k12,k13,s46,s47,s48,s49);

c30:k589ap26

port map(s8,'0',s24,s25,s26,s27,k14,k15,k16,k17,s50,s51,s52,s53);

c31:k559ip2

port map(k18,k19,s91);

end structure;

Временная диаграмма работы схемы устройства «Электроника 60» приведена на рис. 21.

                                   Заключение

В процессе  выполнения данной курсовой работы были изучены методы проектирования и разработки цифровых устройств в соответствии с данными технического задания. Практическая сторона задания (проектирование кристалла БИС) выполнялась с использованием пакета описания цифровых схем Activе-VHDL. Важным достоинством этого пакета является возможность дополнения его библиотек собственными элементами, что дает проектировщику большую свободу действий.

Использование ЭВМ делает проектирование более простым и эффективным.

                                 Список литературы

1.Шило В.Л. Популярные цифровые микросхемы. Справочник. М., ”Радио и связь”, 1989

2.Букреев И.Н. Микроэлектронные схемы цифровых устройств. М., “Сов. радио”, 1975

3. Агаханян Т. М. Интегральные микросхемы: учебное пособие. М., Энергоатомиздат,    1983