Лабораторная работа №2
ПРОЕКТИРОВАНИЕ УСТРОЙСТВА ПО ЗАДАННОЙ ПЕРЕДАТОЧНОЙ ФУНКЦИИ
Цель работы. Получить практические навыки в проектировании устройств по заданным передаточным функциям с использованием однофакторного регрессионного анализа.
Задание:
Заданная передаточная функция, полученная средствами Excel имеет вид:
0,0024x5 + 0,0697x4 - 0,7722x3 + 4,1004x2 - 8,6798x + 8,9381
Текстовое описание передаточной функции:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity lr_2 is
Port ( X : in STD_LOGIC_VECTOR (3 downto 0);
Y : out STD_LOGIC_VECTOR (4 downto 0));
end lr_2;
architecture Behavioral of lr_2 is
begin
process(X)
variable k: integer;
variable t: integer;
begin
t := conv_integer( unsigned( X ) );
k:=-(5*t*t*t*t*t/2048)+(1*t*t*t*t/16)-(3*t*t*t/4)+(8*t*t/4)-(1111*t/128)+143/16;
Y <= std_logic_vector( conv_unsigned ( k, 5 ) );
---0,0024x5 + 0,0697x4 - 0,7722x3 + 4,1004x2 - 8,6798x + 8,9381
end process;
end Behavioral;
Передаточная функция, построенная средствами Xilinx:
x |
0 |
1 |
2 |
3 |
4 |
5 |
6 |
7 |
y |
8 |
2 |
26 |
17 |
4 |
18 |
25 |
26 |
Вывод: получил практические навыки в проектировании устройств по заданным передаточным функциям с использованием однофакторного регрессионного анализа. Построил передаточную функцию средствами Xilinx, которая совпадает с заданной.
Уважаемый посетитель!
Чтобы распечатать файл, скачайте его (в формате Word).
Ссылка на скачивание - внизу страницы.