Проектирование цифрового автомата в САПР OrCAD 9.1 и Active-HDL 8.1, страница 13

                            when "011110" => Q<="10101010";           

                            when "011010" => Q<="01100101";

                            when "011011" => Q<="01011010";

                            when "011001" => Q<="01100110";

                            when "011000" => Q<="01101001";           

                            when "110000" => Q<="01101010";             

                            when "110001" => Q<="01101010";           

                            when "110010" => Q<="01101001";

                            when "110011" => Q<="01101001";

                            when "110100" => Q<="01100110";           

                            when "110101" => Q<="01100110";

                            when "110110" => Q<="01100101";           

                            when "110111" => Q<="01100101";

                            when "111000" => Q<="01011010";           

                            when "111001" => Q<="01011010";

                            when "111010" => Q<="01011001";           

                            when "111011" => Q<="01011001";

                            when "111100" => Q<="01010110";           

                            when "111101" => Q<="01010110";

                            when "111110" => Q<="01010101";           

                            when "111111" => Q<="01010101";

                            when "100000" => Q<="10101010";             

                            when "100001" => Q<="10101010";           

                            when "100010" => Q<="10101001";

                            when "100011" => Q<="10101001";

                            when "100100" => Q<="10100110";           

                            when "100101" => Q<="10100110";

                            when "100110" => Q<="10100101";           

                            when "100111" => Q<="10100101";

                            when "101000" => Q<="10011010";           

                            when "101001" => Q<="10011010";

                            when "101010" => Q<="10011001";           

                            when "101011" => Q<="10011001";

                            when "101100" => Q<="10010110";           

                            when "101101" => Q<="10010110";

                            when "101110" => Q<="10010101";           

                            when "101111" => Q<="10010101";

                            when others =>    Q<="XXXXXXXX";       

                            end case;

                            end if;                 

                            end process;

 Q0<=Q(0);Q1<=Q(1);Q2<=Q(2);Q3<=Q(3);Q4<=Q(4);Q5<=Q(5);Q6<=Q(6);Q7<=Q(7);