Программирование на зыке VHDL: Конспект лекций, страница 15

3.1.7.2. Использование переопределения операторов и функций.................................. 18

3.1.8. Определение сигнала по условию - Conditional Signal Assignment......................... 18

3.1.9. Определение сигнала с помощью выбора варианта - Selected Signal Assignments... 19

3.1.9.1. Пример модели VHDL • Concurrent Signal Assignments................................... 20

3.2. Процессы....................................................................................................................... 20

3.2.1. Выражение Explicit Process...................................................................................... 20

3.2.1.1. Выполнение выражения Process........................................................................ 21

3.2.1.2. Операторы Multi-Process................................................................................... 21

3.2.1.3. VHDL-модель  архитектуры с несколькими процессами................................... 21

3.2.2. Определение задержек сигналов.............................................................................. 22

3.2.3. Моделирование процессов...................................................................................... 22

3.2.4. Эквивалентность функций...................................................................................... 23

3.3. Переменные - Variables.................................................................................................. 24

3.3.1. Объявление переменных - Variable Declarations...................................................... 24

3.3.2. Присвоение значений переменным - Assigning values to Variables.......................... 24

3.3.3. Сравнение сигналов и переменных......................................................................... 26

3.4. Последовательные операторы - Sequential Statements................................................... 27

3.4.1. Оператор If-Then..................................................................................................... 27

3.4.2. Оператор Case......................................................................................................... 28

3.4.3. Последовательные циклы Sequential LOOPS........................................................... 28

3.4.3.1. Цикл FOR с использованием переменной. Устройство сдвига влево на 4 бита 29

4. Иерархическое проектирование........................................................................................... 30

4.1. Особенности структурного моделирования.................................................................... 30

4.2. Использование библиотечных моделей компонентов.................................................... 30

4.3. Использование нескольких файлов проекта................................................................... 31

4.4. Объявление и определение компонента......................................................................... 31

4.5. Преимущества иерархического проектирования............................................................ 33

4.6. Библиотеки поставщика - Vendor Libraries.................................................................... 33

4.7. Библиотека параметризованных модулей (Library LPM)................................................ 33

4.7.1. Применение LPM.................................................................................................... 33

4.7.1.1. Использование модуля MegaWizard пакета MAX Plus+ II................................. 34

4.7.1.2. Пример: LPM Instantiation • lpm_mux.............................................................. 34

4.7.1.3. Пример: LPM instantiation - lpm_mult.............................................................. 35

4.7.2. Преимущества LPM................................................................................................. 35

5. Использование функций и процедур. Атрибуты сигналов................................................... 36