Разработка дешифратора ххххИД14 (за основу «эталонного» дешифратора был взят дешифратор КР1554ИД14), страница 12

Как всегда, в начале выводов необходимо писать о том, как замечательно все получилось, всё сделано и функционирует, причем правильно. Надо ли про это писать? Разве, что для мысленной галочки. Так вот, разработка фактически двух моделей каждой в 3-х вариантах (3 САПР), успешно выполнена. Динамические и функциональные характеристики соответствуют указанным в справочнике по ИМС [1], что не должно радовать как студента, так и преподавателя (кто научил-то студента всему этому).

Как и предполагалось в задании на КР на оценку «отл», КР выполнена в 3-х САПР. В двух из которых (DL 8.0 и OrCad 9.1) автору данной пояснительной записки пришлось (в хорошем смысле пришлось) поработать в прошлом семестре (6 семестр), и одной  (PCAD 2001) в текущем семестре (7 семестр).

Вообще КР выполняемая в полном объеме при данном варианте, все-таки дешифратор это не какой-нибудь регистр со всеми присущими ему приколами, сделана за » 2 недели, естественно с перекурами и выходнымиJ. Это не означает, что требования или задание слишком мягкие (как раз наоборот), просто действительно, идти по накатанной дорожке быстрее (вот и понадобились навыки прошлого семестра). Плюс, конечно же, отлично написанные уроки по данным САПР [2, 4, 5]. Хотя как раз в литературе [5] существует не полное или неточное описание некоторых шагов. Так, например, в жизненно важном для выполнении КР уроке 6 (Урок 6. Как создавать символы элементов), авторы упустили важнейшую деталь, а именно, то, что выводы питания не добавляются в Symbol Editor (из их описания как раз можно об этом подумать), а добавляются в программе конфигурирования символа и компонента Library Executive. Про её назначение тоже ни слова. И вообще создаётся впечатление, что народ делал урок лишь бы отвязаться (всегда сложнее первыми начинать). Поэтому пришлось опираться на уже созданные элементы, находящиеся в системных библиотеках, и как вспомогательный материал использовать урок № 6. Может быть, создаётся такое впечатление, что автор данной КР, только видит плохие стороны, но это не так. Так, например, параметр SimNetList авторы разложили, прям по полочкам, только вот не сказали о том, что номера там указываются относительные. Кстати, при многократном указывании (читай: многочасовая борьба с ошибками, препарация системных библиотек и т.д., чуть ли не метод простого перебора, у которого самая большая трудоемкость!) неправильного параметра SimNetList, (например обычный инвертор: имеет один вход, один выход, и два контакта питания, т.е. SimNetList = %D [%4 %3 %1][%4 %1 %2] %M, но получалось (в начале) так: SimNetList = %D [%14 %7 %1][%14 %1 %2] %M (корпус DIP14)), автор чуть не послал (да простит его преподаватель) многоуважаемый САПР PCAD 2001 и не согласился с «хор». В конце концов, автор преодолел в себе эти желания и сделал всё возможное по освоению данного САПР.

Что больше всего нравится в курсе моделирования и в преподавателе ведущим данный курс, так это то, что он требует так называемую «обратную связь». Это подталкивает на некоторый, несколько не научный стиль написания заключения, чему автор КР искренне рад (хорошо, когда есть что написать и мысли так и лезут в голову). Требование о выводах не менее 1 листа, кем-то воспринимается как какая-то специальная мука, придуманная преподавателем, а я так очень люблю поразглагольствовать на всякие абстрактные и не очень темы. Но всё же вернёмся к впечатлениям о выполнении КР и САПР.

            Вообще, после пакета Electronics Workbench 5.12 привыкание к пакету САПР DL8 довольно трудное, но после освоения, запуск и работа в EWbench 5.12 становится ощутимой мукой. Чем DL8 разительно отличается от EWbench 5.12 так это предоставлением, практически, полной свободой действий для разработчика аппаратуры. Особенно это ощущается при создании DSL - моделей. Можно реализовать, что угодно и как угодно.

Программирование моделей с помощью примитивов какого-то восторга, как в своё время программирование на DSL, не вызвало. Ничего в принципе особенного, да идея разделения модели на функциональные блоки интересна, но, посмотрев на VHDL, кажется всё это лишним.